Return to Support Page
  homesearchagentssupportask xilinxmap

Answers Database 

Foundation XVHDL, F1.4: LogiBLOXÀ¸·Î ¸¸µç Component¸¦ VHDL±¸¹®À¸·Î InstantiationÇÏ·Á¸é

Record #2595
Product Family:  Software
Product Line:  Metamor
Problem Title:
Foundation XVHDL, F1.4:  LogiBLOXÀ¸·Î ¸¸µç Component¸¦
VHDL±¸¹®À¸·Î InstantiationÇÏ·Á¸é
Problem Description:
Keywords:  Logiblox, XVHDL, instantiate
Urgency:  Standard
General Description:
¾î¶»°Ô Çϸé LogiBLOX ModuleÀ» »ý¼ºÇÏ¿© VHDL Design¿¡
InstantiationÀ» ÇÒ ¼ö ÀÖÀ»±î?
Solution 1:
F1.4
====
F1.4¿¡¼­´Â Synthesis->Logiblox¸Þ´º¸¦ ¼±ÅÃÇϸé HDL Editor¿¡¼­
LogiBLOX GUI¸¦ »ç¿ëÇÒ ¼ö ÀÖ´Ù.  ¾Æ·¡¿¡ º¸ÀÌ´Â °Íó·³ VHDL
Code³»¿¡ Component¸¦ °£´ÜÈ÷ InstantiationÇÑ´Ù.  ÇÁ·ÎÁ§Æ® µð·º
Å丮¿¡ À§Ä¡ÇÑ <logi_component>.VHI ÆÄÀÏÀº VHDL ÆÄÀÏ¿¡´Ù°¡
Component¸¦ InstantiationÇϱâÀ§ÇÑ ÅÛÇø®Æ®·Î Á¦°ø µÇ¾îÁø´Ù.
´ÙÀ½Àº LogiBLOXÀ¸·Î ¸¸µç 16x4 Synchronous RAM ModuleÀ»
InstantiationÇÏ´Â ¿¹Á¦ÀÌ´Ù.
library IEEE;
use IEEE.std_logic_1164.all;
entity RAM_TOP is
    port (
           a_top, di_top: in STD_LOGIC_VECTOR (3 downto 0);
           wr_en_top, wr_clk_top: in STD_LOGIC;
           do_top: out STD_LOGIC_VECTOR (3 downto 0)
    );
end RAM_TOP;
architecture ram_top_arch of ram_top is
component my_sram  -- my_sramÀº LogiBLOXÀ¸·Î ¸¸µç
                            -- componentÀÇ À̸§ÀÌ´Ù.
  PORT( 
           A: IN std_logic_vector(3 DOWNTO 0);
           DI: IN std_logic_vector(3 DOWNTO 0);
           WR_EN: IN std_logic;
           WR_CLK: IN std_logic;
           DO: OUT std_logic_vector(3 DOWNTO 0)
  );
end component;
begin
U1 : my_sram port map( A=>A_TOP, DI=>DI_TOP, WR_EN=>WR_EN_TOP,
                               WR_CLK=>WR_CLK_TOP, DO=>DO_TOP);
end ram_top_arch;
End of Record #2595
ÃֽŴº½º¿Í ¼³°è»óÀÇ µµ¿ò¸» ¹× Xilinx ¼³°èµµ±¸ÀÇ ÃֽŠUpdate Á¤º¸¸¦ ¾Ë°í ½ÍÀ¸¼¼¿ä...  ±×·¯¸é Xilinx Expert Journals!À» ¹æ¹®ÇØ º¸¼¼¿ä...


 

- Ãâó: http://www.xilinx.com/techdocs/2595.htm -

 

 



Copyleft Chang-woo,YANG